Main Article Content

Abstract

In this model a runtime cache data mapping is discussed for 3-D stacked L2 caches to minimize the overall energy of 3-D chip multiprocessors (CMPs). The suggested method considers both temperature distribution and memory traffic of 3-D CMPs. Experimental result shows energy reduction achieving up to 22.88% compared to an existing solution which considers only the temperature distribution.  New tendencies envisage 3D Multi-Processor System-On-Chip (MPSoC) design as a promising solution to keep increasing the performance of the next-generation high performance computing (HPC) systems. However, as the power density of HPC systems increases with the arrival of 3D MPSoCs with energy reduction achieving up to 19.55% by supplying electrical power to the computing equipment and constantly removing the generated heat is rapidly becoming the dominant cost in any HPC facility.

Keywords

MPSoC HPC CMP Interconnect TSV Cache Management.

Article Details

How to Cite
Kumar, K. S., Anitha, S., & Gayathri, M. (2015). 3D Stacked Cache Data Management for Energy Minimization of 3D Chip Multiprocessor. International Journal of Students’ Research in Technology & Management, 3(2), 264–268. https://doi.org/10.18510/ijsrtm.2015.325

References

  1. B. Black, et al., “Die Stacking (3D) Micro architecture†in Proc. The 39th Intl. Symp. On Micro architecture, pp. 469-479, Dec. 2006.
  2. W. Liao, L. He, and K. Lepak, “Temperature-aware performance and power modeling†in Technical Report UCLA Engr. 04-250, UCLA, Los Angeles, CA, 2004.
  3. C. Zhang, F. Vahid, and W. Najjar, “A highly configurable cache for low energy embedded systems†in ACM Trans. Embed. Comput. Syst., vol. 4, no. 2, pp. 363-387, May. 2005. DOI: https://doi.org/10.1145/1067915.1067921
  4. D.H. Albonesi, “Selective cache ways: On-demand cache resource allocation†in Proc. the 32nd Intl. Symp. On Micro architecture, pp. 248-259, Nov. 1999.
  5. M. K. Qureshi and Y. N. Patt, “Utility-based cache partitioning: A low-overhead, high-performance, runtime mechanism to partition shared caches†in Proc. the 39th Intl. Symp. On Micro architecture, pp. 423-432, 2006. DOI: https://doi.org/10.1109/MICRO.2006.49
  6. M. Powell, et al., “Gated-Vdd: A circuit technique to reduce leakage in deep-submicron cache memories†in Proc. the ACM/IEEE Intl. Symp. On Low Power Electronics and Design, pp. 90-95, July. 2000. DOI: https://doi.org/10.1145/344166.344526
  7. H. Noori, et al., “Temperature-Aware Configurable Cache to Reduce Energy in Embedded Systems†in IEICE Trans. Electronics, vol. 91, no. 4, pp. 418-431, 2008. DOI: https://doi.org/10.1093/ietele/e91-c.4.418
  8. W. Yun, et al., “Temperature-Aware Energy Minimization of 3D-Stacked L2 DRAM Cache through DVFS†in Proc. ISOCC, 2012, pp. 475–478. DOI: https://doi.org/10.1109/ISOCC.2012.6406899
  9. D. Zhao, H. Homayoun, and A. V. Veidenbaum, “Temperature Aware Thread Migration in 3D Architecture with Stacked DRAM†in Proc. ISQED, 2013, pp. 80–87.
  10. Y. Cheng, et al., “Thermal-Constrained Task Allocation for Interconnect Energy Reduction in 3-D Homogeneous MPSoCs†in IEEE Trans. On Very Large Scale Integration (VLSI) Systems, vol. 21, no. 2, pp. 239-249, Feb. 2013. DOI: https://doi.org/10.1109/TVLSI.2011.2182067